Dual-use

Altera FPGA EP4CGX15BF14C8N, Cyclone IV GX 14400 Cells, 540kbit, 900 Blocks, 169-Pin FBGA

RS Stock No.: 771-6730Brand: AlteraManufacturers Part No.: EP4CGX15BF14C8N
brand-logo
View all in FPGAs

Technical Document

Specifications

Brand

Altera

Family Name

Cyclone IV GX

Number of Logic Cells

14400

Number of Logic Units

900

Dedicated DSP

Yes

Number of Registers

14400

Mounting Type

Surface Mount

Package Type

FBGA

Pin Count

169

Number of RAM Bits

540kbit

Dimensions

14 x 14 x 1.25mm

Height

1.25mm

Length

14mm

Minimum Operating Temperature

0 °C

Maximum Operating Temperature

+85 °C

Maximum Operating Supply Voltage

1.24 V

Width

14mm

Minimum Operating Supply Voltage

1.16 V

Product details

Cyclone FPGA, Altera

Stock information temporarily unavailable.

Please check again later.

Stock information temporarily unavailable.

€ 38.15

Each (Exc. Vat)

Altera FPGA EP4CGX15BF14C8N, Cyclone IV GX 14400 Cells, 540kbit, 900 Blocks, 169-Pin FBGA
Select packaging type

€ 38.15

Each (Exc. Vat)

Altera FPGA EP4CGX15BF14C8N, Cyclone IV GX 14400 Cells, 540kbit, 900 Blocks, 169-Pin FBGA
Stock information temporarily unavailable.
Select packaging type

Ideate. Create. Collaborate

JOIN FOR FREE

No hidden fees!

design-spark
design-spark
  • Download and use our DesignSpark software for your PCB and 3D Mechanical designs
  • View and contribute website content and forums
  • Download 3D Models, Schematics and Footprints from more than a million products
Click here to find out more

Technical Document

Specifications

Brand

Altera

Family Name

Cyclone IV GX

Number of Logic Cells

14400

Number of Logic Units

900

Dedicated DSP

Yes

Number of Registers

14400

Mounting Type

Surface Mount

Package Type

FBGA

Pin Count

169

Number of RAM Bits

540kbit

Dimensions

14 x 14 x 1.25mm

Height

1.25mm

Length

14mm

Minimum Operating Temperature

0 °C

Maximum Operating Temperature

+85 °C

Maximum Operating Supply Voltage

1.24 V

Width

14mm

Minimum Operating Supply Voltage

1.16 V

Product details

Cyclone FPGA, Altera